system-verilog专题提供system-verilog的最新资讯内容,帮你更好的了解system-verilog。
我在程序的特定部分遇到麻烦,在始终块中: <pre><code>module compare_block (clk, reset_n, result, led); pa
我在学校学习过,两种模型都以相同的角度使用,但是当我上网浏览时,有一些页面定义了一些技巧,
我有以下代码块,其中触发了语法错误: <pre><code> virtual task body(); forever begin my_transaction m_req;
我是SystemVerilog的新手,我想知道在always_comb块中如何处理对同一信号的多次分配。 我正在分析某人
我正试图写一个掩护点,以检查应该同时出现两个信号的位置。 <pre><code>covergroup signal@(posedge clk) s
在这里,我试图写一个覆盖点以检查信号a接通后是否有信号b(on)。 <pre><code>check: coverpoint {a,b}{ bins
我想打印变量名以及大量信号的值。 例如 <pre><code>signal_a = 2, signal_b = 3, ... </code></pre> 当前,我正
我需要做这样的事情。我需要将阵列拆分为单独的信号。我没有启用信号来指定方向。而且,“ assign”
我正在编写一个Verilog HDL模块来消除按键的反弹。首先,我将使用两个名为<code>sync_0</code>和<code>sync_1</cod
我有两个要跨越的掩护点,如下面的代码所示。在交叉覆盖中,我想根据覆盖点之一(在本例中为cp_a)
我试图理解为什么当两个语句的条件表达式相同时,两个SystemVerilog Assign语句的语句覆盖范围可能会彼此
是否可以根据参数值在Verilog中包括文件?以下内容包括两个文件,因为直到编译时才评估参数,而预处
我的任务是建立一个ALU。但是,我一定不明白测试平台应如何运行。我已经运行了其他简单的测试平台
<strong>我的任务是建立ALU。但是,我一定不明白带有file.tv的自检测试台应如何运行。我已经运行了其他
我维护了SystemVerilog库,并且在使用此代码的3年中从未遇到过此问题。 我只能假设用户正在使用某些特
我刚开始学习Verilog。我一直在尝试了解<code>** Error (suppressible): /Documents/SystemVerilog2.sv(48): (vlog-2720) A genera
我的模块中需要有一个<code>inout</code>数据类型的<code>real</code>端口。我还需要在该端口中具有多个驱动程
在top.v中,我生成<code>pe</code>模块的X_MAX * Y_MAX实例。在pe.v中,我想初始化专门为该实例生成的内存。例
在SystemVerilog中,我正在尝试执行以下操作: <pre><code>wire signed [0:95][0:4][0:4][31:0] X; wire signed [0:31][0:31]
您如何输入回车和换行符?我看不到任何在线内容表明这需要独特的东西。例如,如果我这样做... <p