modelsim专题提供modelsim的最新资讯内容,帮你更好的了解modelsim。
我试图为RISC-V程序集创建立即生成器,但是遇到了if语句。 这是我在Verilog中的代码: <pre><code>module si
我只是在ModelSim中写的。 <pre><code>library ieee; use ieee.std_logic_1164.all; entity nand3 is port ( a : in st
我目前正在VHDL中对系统进行编程,并且正在使用另一个名为vnir的软件包中的枚举器,其定义如下: <
这是我在Modelsim中的VHDL代码。问题是输出未初始化,如您在图像中所见。请告诉我我的代码有什么问题
我的任务是建立一个ALU。但是,我一定不明白测试平台应如何运行。我已经运行了其他简单的测试平台
<strong>我的任务是建立ALU。但是,我一定不明白带有file.tv的自检测试台应如何运行。我已经运行了其他
我目前在ModelSim中进行项目,而Im在编译时遇到了一些麻烦。我想要做的就是将引脚的值从0更改为1,但
我是VHDL的新手,我正在为XNOR门编写测试平台。一种简单的解决方案是手动检查两个输入的每个组合,但
下面是我的简单.do文件的内容: <pre class="lang-bash prettyprint-override"><code># Below stops the annoying dialog from p
此ALU可以对运算符进行加,减,与或运算 根据提供给ALU的操作代码,两个数据输入。两位控制 名为Operat
我正在尝试使用32 mux32实现32位右移。我的mux32工作正常,但是当我尝试一些测试用例时,此模块仍返回
在Modelsim上的VHDL中编译项目我遇到以下错误:**错误:C:/Users/User.User-PC/Desktop/progettoasi.vhd(15):在“
我正在尝试使用门级来实现4位右移位器,但是由于某种原因,我得到的结果未知,我的多路复用器工作
我想让测试平台按顺序运行。我期望的是首先运行AES_input,完成后运行第二个模块AES_TOP。我设置了一个
我尝试使用这样的代码在门级构建一个32位移位器,并且我工作得很好,但是键入需要很多时间。 <pre
我正在更新我的<strong> VHDL </strong>编程技能(通过使用ModelSim),编写了移位寄存器项目和测试平台,并
我对此并不陌生,这个问题似乎很愚蠢,但是我已经花了数小时了,测试台只是不想在执行算术之前将
在实现您在下面看到的Verilog代码时,我遇到了如下错误消息: <pre><code>df.groupby([&#39;Pclass&#39;]).agg(aggr
有没有一种方法可以为modelim中的波应用条件着色?例如,如果信号值为X“ AB”,则将该部分涂成红色,
有人可以帮我理解为什么我的功能无法正常工作吗? <pre><code>module TestBench2(); localparam SELECT_NONE = 0;