牛客刷题<18>3-8译码器

题目:实现3-8译码器①_牛客题霸_牛客网

知识点:Verilog中and or not的用法

在程序模块中出现的and,or和not都是Verilog语言的保留字,由Verilog语言的原语

规定了它们的接口顺序和用法,分别表示与门,或门,非门 

例:

module muxtwo(out,a,b,sl);

input a,b,sl;

output out;

     not      u1(nsl,sl);   //将sl进行非运算,nsl为sl非运算后的值,u1相当于逻辑元件非门

     and  #1   u2(sela,a,nsl);  //将a和nsl进行与运算,sela为与运算后的输出值,u2相当于逻辑元件与门

     and  #1   u3(selb,b,sl);//将b和sl进行与运算

     or     #1   u4(out,sela,selb);  //将sela和selb进行或运算

                                                /*#1表示输入到输出延迟1个单位时间*/

endmodule

解法一

`timescale 1ns/1ns

module decoder_38(
   input             E1_n   ,
   input             E2_n   ,
   input             E3     ,
   input             A0     ,
   input             A1     ,
   input             A2     ,
   
   output wire       Y0_n   ,  
   output wire       Y1_n   , 
   output wire       Y2_n   , 
   output wire       Y3_n   , 
   output wire       Y4_n   , 
   output wire       Y5_n   , 
   output wire       Y6_n   , 
   output wire       Y7_n   
);
    
    not NO0 (A00,A0),
    NO1(A10,A1),
    NO2(A20,A2),
    NO0_N(Y0_n,Y0),
    NO1_N(Y1_n,Y1),
    NO2_N(Y2_n,Y2),
    NO3_N(Y3_n,Y3),
    NO4_N(Y4_n,Y4),
    NO5_N(Y5_n,Y5),
    NO6_N(Y6_n,Y6),
    NO7_N(Y7_n,Y7),
    NOE1(E1,E1_n),
    NOE2(E2,E2_n),
    NOE3(E3,E3_n);
    
    and AND0(Y0,A00,A10,A20,E3,E2,E1),
    AND1(Y1,A0,A10,A20,E3,E2,E1),
    AND2(Y2,A00,A1,A20,E3,E2,E1),
    AND3(Y3,A0,A1,A20,E3,E2,E1),
    AND4(Y4,A00,A10,A2,E3,E2,E1),
    AND5(Y5,A0,A10,A2,E3,E2,E1),
    AND6(Y6,A00,A1,A2,E3,E2,E1),
    AND7(Y7,A0,A1,A2,E3,E2,E1);

endmodule

解法二

`timescale 1ns/1ns

module decoder_38(
   input             E1_n   ,
   input             E2_n   ,
   input             E3     ,
   input             A0     ,
   input             A1     ,
   input             A2     ,
   
   output wire       Y0_n   ,  
   output wire       Y1_n   , 
   output wire       Y2_n   , 
   output wire       Y3_n   , 
   output wire       Y4_n   , 
   output wire       Y5_n   , 
   output wire       Y6_n   , 
   output wire       Y7_n   
);
    
    assign Y0_n = ~(({A2,A1,A0}==3'd0)&&({E3,E2_n,E1_n} ==3'b100));
    assign Y1_n = ~(({A2,A1,A0}==3'd1)&&({E3,E2_n,E1_n} ==3'b100));
    assign Y2_n = ~(({A2,A1,A0}==3'd2)&&({E3,E2_n,E1_n} ==3'b100));
    assign Y3_n = ~(({A2,A1,A0}==3'd3)&&({E3,E2_n,E1_n} ==3'b100));
    assign Y4_n = ~(({A2,A1,A0}==3'd4)&&({E3,E2_n,E1_n} ==3'b100));
    assign Y5_n = ~(({A2,A1,A0}==3'd5)&&({E3,E2_n,E1_n} ==3'b100));
    assign Y6_n = ~(({A2,A1,A0}==3'd6)&&({E3,E2_n,E1_n} ==3'b100));
    assign Y7_n = ~(({A2,A1,A0}==3'd7)&&({E3,E2_n,E1_n} ==3'b100));

endmodule

解法三

`timescale 1ns/1ns

module decoder_38(
   input             E1_n   ,
   input             E2_n   ,
   input             E3     ,
   input             A0     ,
   input             A1     ,
   input             A2     ,
   
   output wire       Y0_n   ,  
   output wire       Y1_n   , 
   output wire       Y2_n   , 
   output wire       Y3_n   , 
   output wire       Y4_n   , 
   output wire       Y5_n   , 
   output wire       Y6_n   , 
   output wire       Y7_n   
);
    
    assign Y0_n = ~E3 | E2_n | E1_n | A2 | A1 | A0;
    assign Y1_n = ~E3 | E2_n | E1_n | A2 | A1 | ~A0;
    assign Y2_n = ~E3 | E2_n | E1_n | A2 | ~A1 | A0;
    assign Y3_n = ~E3 | E2_n | E1_n | A2 | ~A1 | ~A0;
    assign Y4_n = ~E3 | E2_n | E1_n | ~A2 | A1 | A0;
    assign Y5_n = ~E3 | E2_n | E1_n | ~A2 | A1 | ~A0;
    assign Y6_n = ~E3 | E2_n | E1_n | ~A2 | ~A1 | A0;
    assign Y7_n = ~E3 | E2_n | E1_n | ~A2 | ~A1 | ~A0;
    
endmodule

解法四

`timescale 1ns/1ns

module decoder_38(
   input             E1_n   ,
   input             E2_n   ,
   input             E3     ,
   input             A0     ,
   input             A1     ,
   input             A2     ,
   
   output wire       Y0_n   ,  
   output wire       Y1_n   , 
   output wire       Y2_n   , 
   output wire       Y3_n   , 
   output wire       Y4_n   , 
   output wire       Y5_n   , 
   output wire       Y6_n   , 
   output wire       Y7_n   
);
    wire  E;
    assign E = E3 & ~E2_n & ~E1_n;
    assign Y0_n = ~(E & ~A2 & ~A1 & ~A0);
    assign Y1_n = ~(E & ~A2 & ~A1 &  A0);
    assign Y2_n = ~(E & ~A2 &  A1 & ~A0);
    assign Y3_n = ~(E & ~A2 &  A1 &  A0);
    assign Y4_n = ~(E &  A2 & ~A1 & ~A0);
    assign Y5_n = ~(E &  A2 & ~A1 &  A0);
    assign Y6_n = ~(E &  A2 &  A1 & ~A0);
    assign Y7_n = ~(E &  A2 &  A1 &  A0);
    
endmodule

解法五:自己写的

`timescale 1ns/1ns

module decoder_38(
   input             E1_n   ,
   input             E2_n   ,
   input             E3     ,
   input             A0     ,
   input             A1     ,
   input             A2     ,
   
   output wire       Y0_n   ,  
   output wire       Y1_n   , 
   output wire       Y2_n   , 
   output wire       Y3_n   , 
   output wire       Y4_n   , 
   output wire       Y5_n   , 
   output wire       Y6_n   , 
   output wire       Y7_n   
);
    reg Y0n;
    reg Y1n;
    reg Y2n;
    reg Y3n;
    reg Y4n;
    reg Y5n;
    reg Y6n;
    reg Y7n;
    always@(*)begin
        if(E3==0|E2_n==1|E1_n==1)begin
            Y0n  = 1'b1;
            Y1n  = 1'b1;
            Y2n  = 1'b1;
            Y3n  = 1'b1;
            Y4n  = 1'b1;
            Y5n  = 1'b1;
            Y6n  = 1'b1;
            Y7n  = 1'b1;
        end
        else begin
            case({A2,A1,A0})
                3'b000:begin
                   Y0n = 1'b0; Y1n = 1'b1; Y2n = 1'b1; Y3n = 1'b1; 
                   Y4n = 1'b1; Y5n = 1'b1; Y6n = 1'b1; Y7n = 1'b1; 
                end
                3'b001:begin
                   Y0n = 1'b1; Y1n = 1'b0; Y2n = 1'b1; Y3n = 1'b1; 
                   Y4n = 1'b1; Y5n = 1'b1; Y6n = 1'b1; Y7n = 1'b1; 
                end
                3'b010:begin
                   Y0n = 1'b1; Y1n = 1'b1; Y2n = 1'b0; Y3n = 1'b1; 
                   Y4n = 1'b1; Y5n = 1'b1; Y6n = 1'b1; Y7n = 1'b1; 
                end
                3'b011:begin
                   Y0n = 1'b1; Y1n = 1'b1; Y2n = 1'b1; Y3n = 1'b0; 
                   Y4n = 1'b1; Y5n = 1'b1; Y6n = 1'b1; Y7n = 1'b1; 
                end
                3'b100:begin
                   Y0n = 1'b1; Y1n = 1'b1; Y2n = 1'b1; Y3n = 1'b1; 
                   Y4n = 1'b0; Y5n = 1'b1; Y6n = 1'b1; Y7n = 1'b1; 
                end
                3'b101:begin
                   Y0n = 1'b1; Y1n = 1'b1; Y2n = 1'b1; Y3n = 1'b1; 
                   Y4n = 1'b1; Y5n = 1'b0; Y6n = 1'b1; Y7n = 1'b1; 
                end
                3'b110:begin
                   Y0n = 1'b1; Y1n = 1'b1; Y2n = 1'b1; Y3n = 1'b1; 
                   Y4n = 1'b1; Y5n = 1'b1; Y6n = 1'b0; Y7n = 1'b1; 
                end
                3'b111:begin
                   Y0n = 1'b1; Y1n = 1'b1; Y2n = 1'b1; Y3n = 1'b1; 
                   Y4n = 1'b1; Y5n = 1'b1; Y6n = 1'b1; Y7n = 1'b0; 
                end
                default:begin
                   Y0n = 1'b1; Y1n = 1'b1; Y2n = 1'b1; Y3n = 1'b1; 
                   Y4n = 1'b1; Y5n = 1'b1; Y6n = 1'b1; Y7n = 1'b1;  
                end
            endcase
        end
            
    end
    assign  Y0_n = Y0n;
    assign  Y1_n = Y1n;
    assign  Y2_n = Y2n;
    assign  Y3_n = Y3n;
    assign  Y4_n = Y4n;
    assign  Y5_n = Y5n;
    assign  Y6_n = Y6n;
    assign  Y7_n = Y7n;
    
endmodule

Testbench

`timescale 1ns/1ns

module testbench();
    wire E1_n;
    wire E2_n;
    wire E3;
    wire A0;
    wire A1;
    wire A2;
    wire Y0_n;
    wire Y1_n;
    wire Y2_n;
    wire Y3_n;
    wire Y4_n;
    wire Y5_n;
    wire Y6_n;
    wire Y7_n;
    initial begin
        $dumpfile("out.vcd");
        $dumpvars(0,testbench);
    end
    decoder_38 inst(
        .E1_n(E1_n),
        .E2_n(E2_n),
        .E3(E3),
        .A0(A0),
        .A1(A1),
        .A2(A2),
        .Y0_n(Y0_n),
        .Y1_n(Y1_n),
        .Y2_n(Y2_n),
        .Y3_n(Y3_n),
        .Y4_n(Y4_n),
        .Y5_n(Y5_n),
        .Y6_n(Y6_n),
        .Y7_n(Y7_n)
    );
endmodule

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。

相关推荐


学习编程是顺着互联网的发展潮流,是一件好事。新手如何学习编程?其实不难,不过在学习编程之前你得先了解你的目的是什么?这个很重要,因为目的决定你的发展方向、决定你的发展速度。
IT行业是什么工作做什么?IT行业的工作有:产品策划类、页面设计类、前端与移动、开发与测试、营销推广类、数据运营类、运营维护类、游戏相关类等,根据不同的分类下面有细分了不同的岗位。
女生学Java好就业吗?女生适合学Java编程吗?目前有不少女生学习Java开发,但要结合自身的情况,先了解自己适不适合去学习Java,不要盲目的选择不适合自己的Java培训班进行学习。只要肯下功夫钻研,多看、多想、多练
Can’t connect to local MySQL server through socket \'/var/lib/mysql/mysql.sock问题 1.进入mysql路径
oracle基本命令 一、登录操作 1.管理员登录 # 管理员登录 sqlplus / as sysdba 2.普通用户登录
一、背景 因为项目中需要通北京网络,所以需要连vpn,但是服务器有时候会断掉,所以写个shell脚本每五分钟去判断是否连接,于是就有下面的shell脚本。
BETWEEN 操作符选取介于两个值之间的数据范围内的值。这些值可以是数值、文本或者日期。
假如你已经使用过苹果开发者中心上架app,你肯定知道在苹果开发者中心的web界面,无法直接提交ipa文件,而是需要使用第三方工具,将ipa文件上传到构建版本,开...
下面的 SQL 语句指定了两个别名,一个是 name 列的别名,一个是 country 列的别名。**提示:**如果列名称包含空格,要求使用双引号或方括号:
在使用H5混合开发的app打包后,需要将ipa文件上传到appstore进行发布,就需要去苹果开发者中心进行发布。​
+----+--------------+---------------------------+-------+---------+
数组的声明并不是声明一个个单独的变量,比如 number0、number1、...、number99,而是声明一个数组变量,比如 numbers,然后使用 nu...
第一步:到appuploader官网下载辅助工具和iCloud驱动,使用前面创建的AppID登录。
如需删除表中的列,请使用下面的语法(请注意,某些数据库系统不允许这种在数据库表中删除列的方式):
前不久在制作win11pe,制作了一版,1.26GB,太大了,不满意,想再裁剪下,发现这次dism mount正常,commit或discard巨慢,以前都很快...
赛门铁克各个版本概览:https://knowledge.broadcom.com/external/article?legacyId=tech163829
实测Python 3.6.6用pip 21.3.1,再高就报错了,Python 3.10.7用pip 22.3.1是可以的
Broadcom Corporation (博通公司,股票代号AVGO)是全球领先的有线和无线通信半导体公司。其产品实现向家庭、 办公室和移动环境以及在这些环境...
发现个问题,server2016上安装了c4d这些版本,低版本的正常显示窗格,但红色圈出的高版本c4d打开后不显示窗格,
TAT:https://cloud.tencent.com/document/product/1340