python和Micropython之间存在差异的Uart问题

如何解决python和Micropython之间存在差异的Uart问题

我有一个风速表,每秒发送一次数据。我使用的是while循环,循环1秒钟,读取uart端口并获取数据。在Windows和raspberyPi上的Python中,它都能完美运行。我试图在运行micropython的Pyboard上运行相同的代码。 在python中,而在循环乳清中,uart上没有数据时,它将返回一个空字节- b'',直到接收到数据为止。在MicroPython中,在循环中而不是空字节时,它返回 None ,这是发生错误消息时的情况。 TypeError:“ NoneType”类型的对象没有len() 查看下面的python和MicroPython代码

Python代码完美运行:

import serial
from statistics import mean
import time
#import utime


ser_gauge = serial.Serial('COM6',timeout=0.1)
ser_gauge.baudrate = 9600  # set Baud rate
ser_gauge.bytesize = 8  # Number of data bits = 8
ser_gauge.parity = 'E'  # No parity
ser_gauge.stopbits = 1  # Number of Stop bits = 1

start_reading = True

if start_reading:
       
        t0 = time.time()
        while time.time() - t0 <1:
            print(t0)
            print(time.time() - t0)
            data = ser_gauge.readline()
            print(data)
            if len(data) > 0:
                command = data
                print(command)

在没有数据的情况下,在1秒循环通知空字节后返回。 1597162713.5983248 0.0 b'' 1597162713.5983248 0.10153698921203613 b'' 1597162713.5983248 0.20209956169128418 b'' 1597162713.5983248 0.30266332626342773 b'' 1597162713.5983248 0.4032254219055176 b'' 1597162713.5983248 0.503786563873291 b'' 1597162713.5983248 0.6043491363525391 b'' 1597162713.5983248 0.7049119472503662 b'$ WIMWV,82,R,3.1,M,A 06 \ r \ n' b'$ WIMWV,82,R,3.1,M,A 06 \ r \ n' 1597162713.5983248 0.7820417881011963 b'' 1597162713.5983248 0.8826048374176025 b'' 1597162713.5983248 0.9831666946411133 b''

MicroPython代码:

from statistics import mean
import time
import utime
from pyb import UART

ser_gauge = UART(4,9600)
ser_gauge.init(9600,bits=7,parity=None,stop=1,timeout=100)

start_reading = True

if start_reading:
       
        t0 = time.ticks_ms()
        while time.ticks_ms()/1000 - t0 <1:
            print(t0)
            print(time.ticks_ms() - t0)
            data = ser_gauge.readline()
            print(data)
            if len(data) > 0:   
#TypeError: object of type 'NoneType' has no len()
                command = data
                print(command)

如果我继续循环,数据将如下所示: 113412 2078年 b'$ WIMWV,82,R,3.2,M,A 05 \ r \ n' b'$ WIMWV,82,R,3.2,M,A 05 \ r \ n' 113412 2178 没有 没有 113412 2278 没有 没有 113412 2378 没有 没有 113412 2478 没有 没有 113412 2578 没有 没有 113412 2678 没有 没有 113412 2778 没有 没有 我在编程方面非常环保,将不胜感激。我尝试了多种不同的if语句来绕过而没有成功。

解决方法

我找到了上面问题的答案。没有一个像null,因此它不会响应==这样的普通操作数,因此必须使用单词is。如果数据为空:则使用pass语句。然后脚本将继续。

    t0 = time.ticks_ms()
    while time.ticks_ms()/1000 - t0 <1:
        print(t0)
        print(time.ticks_ms() - t0)
        data = ser_gauge.readline()
        if data is None:
           Pass
        print(data)
        elif len(data) > 0:   
            command = data
            print(command)
,

您的Python代码将串行端口设置为9600、8,E,1

但是uPy代码使用9600、7,无,1

这是端口参数的故意更改吗?外部设备端口设置是否也已更改?

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。

相关推荐


依赖报错 idea导入项目后依赖报错,解决方案:https://blog.csdn.net/weixin_42420249/article/details/81191861 依赖版本报错:更换其他版本 无法下载依赖可参考:https://blog.csdn.net/weixin_42628809/a
错误1:代码生成器依赖和mybatis依赖冲突 启动项目时报错如下 2021-12-03 13:33:33.927 ERROR 7228 [ main] o.s.b.d.LoggingFailureAnalysisReporter : *************************** APPL
错误1:gradle项目控制台输出为乱码 # 解决方案:https://blog.csdn.net/weixin_43501566/article/details/112482302 # 在gradle-wrapper.properties 添加以下内容 org.gradle.jvmargs=-Df
错误还原:在查询的过程中,传入的workType为0时,该条件不起作用 &lt;select id=&quot;xxx&quot;&gt; SELECT di.id, di.name, di.work_type, di.updated... &lt;where&gt; &lt;if test=&qu
报错如下,gcc版本太低 ^ server.c:5346:31: 错误:‘struct redisServer’没有名为‘server_cpulist’的成员 redisSetCpuAffinity(server.server_cpulist); ^ server.c: 在函数‘hasActiveC
解决方案1 1、改项目中.idea/workspace.xml配置文件,增加dynamic.classpath参数 2、搜索PropertiesComponent,添加如下 &lt;property name=&quot;dynamic.classpath&quot; value=&quot;tru
删除根组件app.vue中的默认代码后报错:Module Error (from ./node_modules/eslint-loader/index.js): 解决方案:关闭ESlint代码检测,在项目根目录创建vue.config.js,在文件中添加 module.exports = { lin
查看spark默认的python版本 [root@master day27]# pyspark /home/software/spark-2.3.4-bin-hadoop2.7/conf/spark-env.sh: line 2: /usr/local/hadoop/bin/hadoop: No s
使用本地python环境可以成功执行 import pandas as pd import matplotlib.pyplot as plt # 设置字体 plt.rcParams[&#39;font.sans-serif&#39;] = [&#39;SimHei&#39;] # 能正确显示负号 p
错误1:Request method ‘DELETE‘ not supported 错误还原:controller层有一个接口,访问该接口时报错:Request method ‘DELETE‘ not supported 错误原因:没有接收到前端传入的参数,修改为如下 参考 错误2:cannot r
错误1:启动docker镜像时报错:Error response from daemon: driver failed programming external connectivity on endpoint quirky_allen 解决方法:重启docker -&gt; systemctl r
错误1:private field ‘xxx‘ is never assigned 按Altʾnter快捷键,选择第2项 参考:https://blog.csdn.net/shi_hong_fei_hei/article/details/88814070 错误2:启动时报错,不能找到主启动类 #
报错如下,通过源不能下载,最后警告pip需升级版本 Requirement already satisfied: pip in c:\users\ychen\appdata\local\programs\python\python310\lib\site-packages (22.0.4) Coll
错误1:maven打包报错 错误还原:使用maven打包项目时报错如下 [ERROR] Failed to execute goal org.apache.maven.plugins:maven-resources-plugin:3.2.0:resources (default-resources)
错误1:服务调用时报错 服务消费者模块assess通过openFeign调用服务提供者模块hires 如下为服务提供者模块hires的控制层接口 @RestController @RequestMapping(&quot;/hires&quot;) public class FeignControl
错误1:运行项目后报如下错误 解决方案 报错2:Failed to execute goal org.apache.maven.plugins:maven-compiler-plugin:3.8.1:compile (default-compile) on project sb 解决方案:在pom.
参考 错误原因 过滤器或拦截器在生效时,redisTemplate还没有注入 解决方案:在注入容器时就生效 @Component //项目运行时就注入Spring容器 public class RedisBean { @Resource private RedisTemplate&lt;String
使用vite构建项目报错 C:\Users\ychen\work&gt;npm init @vitejs/app @vitejs/create-app is deprecated, use npm init vite instead C:\Users\ychen\AppData\Local\npm-