如何使用Rcpp使执行就地操作的C ++函数可用于另一个R包?

如何解决如何使用Rcpp使执行就地操作的C ++函数可用于另一个R包?

说我有一个名为“ packA”的R软件包,其中包含以下文件“ funcA.cpp”:

// [[Rcpp::depends(RcppArmadillo)]]
// [[Rcpp::interfaces(r,cpp)]]
#include <RcppArmadillo.h>
using namespace Rcpp;

// [[Rcpp::export]]
void funcA (arma::vec& x) {
  x += 1;
}

从R调用此函数会产生预期结果,即就地向向量的每个元素加1:

> vec <- c(1,2,3)
> funcA(vec)
> vec
[1] 2 3 4

现在说我有第二个软件包“ packB”,它想调用函数“ funcA”。它包含以下名为“ funcB.cpp”的文件:

// [[Rcpp::depends(RcppArmadillo)]]
#include <RcppArmadillo.h>
#include <packA.h>
using namespace Rcpp;

// [[Rcpp::export]]
void funcB() {
  arma::vec x = {1,3};

  Rcout << x << "\n";
  packA::funcA(x);
  Rcout << x << "\n";
}

调用此函数不再产生所需的结果,因为似乎向量x不再就地修改:

> funcB()
   1.0000
   2.0000
   3.0000

   1.0000
   2.0000
   3.0000

在仍然使用Rcpp的同时,有什么方法可以保留C ++函数的就地操作吗?预先感谢您的任何建议。

编辑: 我根据DirkEddelbüttel的建议修改了“ funcB.cpp”:

// [[Rcpp::depends(RcppArmadillo)]]
#include <RcppArmadillo.h>
#include <packA.h>
using namespace Rcpp;

// [[Rcpp::export]]
void funcB(arma::vec& y) {
  packA::funcA(y);
}

不幸的是,从R调用函数时的结果是相同的:

> vec <- c(1,3)
> funcB(vec)
> vec
[1] 1 2 3 

修改2: 经过一些进一步的实验,我注意到,arma::vec& x一旦进入funcA的足迹,一切就开始崩溃。只需使用NumericVector即可:

“ funcA.cpp”

// [[Rcpp::depends(RcppArmadillo)]]
// [[Rcpp::interfaces(r,cpp)]]
#include <RcppArmadillo.h>
using namespace Rcpp;

// [[Rcpp::export]]
void funcA (NumericVector& num_x) {
  num_x[2] = 10 + num_x[2];
}
> vec <- c(1,3)
> funcA(vec)
> vec
[1]  1  2 13

“ funcB.cpp”

// [[Rcpp::depends(RcppArmadillo)]]
#include <RcppArmadillo.h>
#include <packA.h>
using namespace Rcpp;

// [[Rcpp::export]]
void funcB(NumericVector& num_y) {
  packA::funcA(num_y);
}

> vec <- c(1,3)
> funcB(vec)
> vec
[1]  1  2 13

即使使用高级arma::vec构造函数创建具有共享内存的Armadillo矢量,只要输入是NumericVector

“ funcA.cpp”

// [[Rcpp::depends(RcppArmadillo)]]
// [[Rcpp::interfaces(r,cpp)]]
#include <RcppArmadillo.h>
using namespace Rcpp;

// [[Rcpp::export]]
void funcA (NumericVector& num_x) {
  arma::vec x_int = arma::vec(num_x.begin(),3,false,false);
  x_int(2) = 10 + x_int(2);
}
> vec <- c(1,3)
> funcA(vec)
> vec
[1]  1  2 13

> vec <- c(1,3)
> funcB(vec)
> vec
[1]  1  2 13

使用arma::vec尝试相同的操作不再有效。

“ funcA.cpp”

// [[Rcpp::depends(RcppArmadillo)]]
// [[Rcpp::interfaces(r,cpp)]]
#include <RcppArmadillo.h>
using namespace Rcpp;

// [[Rcpp::export]]
void funcA (arma::vec& x) {
  arma::vec x_int = arma::vec(x.begin(),false);
  x(2) = 10 + x(2);
}
> vec <- c(1,3)
> funcA(vec)
> vec
[1]  1  2 13

“ funcB.cpp”

// [[Rcpp::depends(RcppArmadillo)]]
#include <RcppArmadillo.h>
#include <packA.h>
using namespace Rcpp;

// [[Rcpp::export]]
void funcB(arma::vec& y) {
  packA::funcA(y);
}
> vec <- c(1,3)
> funcB(vec)
> vec
[1] 1 2 3

解决方法

我认为这是一个不同的话题。调用A时,将创建一个R向量。该R向量是由R存储器构成的,R拥有“ R”并让Armadillo重用。在那里就可以。

但是,当您运行B时,您将构建一个R所不拥有的 Armadillo 向量。这不是R中的“我们”内存,并且内容只能通过复制返回。然后您就地释放了mod。使该函数具有arma::vec签名,并改为传递现有的向量。

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。

相关推荐


依赖报错 idea导入项目后依赖报错,解决方案:https://blog.csdn.net/weixin_42420249/article/details/81191861 依赖版本报错:更换其他版本 无法下载依赖可参考:https://blog.csdn.net/weixin_42628809/a
错误1:代码生成器依赖和mybatis依赖冲突 启动项目时报错如下 2021-12-03 13:33:33.927 ERROR 7228 [ main] o.s.b.d.LoggingFailureAnalysisReporter : *************************** APPL
错误1:gradle项目控制台输出为乱码 # 解决方案:https://blog.csdn.net/weixin_43501566/article/details/112482302 # 在gradle-wrapper.properties 添加以下内容 org.gradle.jvmargs=-Df
错误还原:在查询的过程中,传入的workType为0时,该条件不起作用 &lt;select id=&quot;xxx&quot;&gt; SELECT di.id, di.name, di.work_type, di.updated... &lt;where&gt; &lt;if test=&qu
报错如下,gcc版本太低 ^ server.c:5346:31: 错误:‘struct redisServer’没有名为‘server_cpulist’的成员 redisSetCpuAffinity(server.server_cpulist); ^ server.c: 在函数‘hasActiveC
解决方案1 1、改项目中.idea/workspace.xml配置文件,增加dynamic.classpath参数 2、搜索PropertiesComponent,添加如下 &lt;property name=&quot;dynamic.classpath&quot; value=&quot;tru
删除根组件app.vue中的默认代码后报错:Module Error (from ./node_modules/eslint-loader/index.js): 解决方案:关闭ESlint代码检测,在项目根目录创建vue.config.js,在文件中添加 module.exports = { lin
查看spark默认的python版本 [root@master day27]# pyspark /home/software/spark-2.3.4-bin-hadoop2.7/conf/spark-env.sh: line 2: /usr/local/hadoop/bin/hadoop: No s
使用本地python环境可以成功执行 import pandas as pd import matplotlib.pyplot as plt # 设置字体 plt.rcParams[&#39;font.sans-serif&#39;] = [&#39;SimHei&#39;] # 能正确显示负号 p
错误1:Request method ‘DELETE‘ not supported 错误还原:controller层有一个接口,访问该接口时报错:Request method ‘DELETE‘ not supported 错误原因:没有接收到前端传入的参数,修改为如下 参考 错误2:cannot r
错误1:启动docker镜像时报错:Error response from daemon: driver failed programming external connectivity on endpoint quirky_allen 解决方法:重启docker -&gt; systemctl r
错误1:private field ‘xxx‘ is never assigned 按Altʾnter快捷键,选择第2项 参考:https://blog.csdn.net/shi_hong_fei_hei/article/details/88814070 错误2:启动时报错,不能找到主启动类 #
报错如下,通过源不能下载,最后警告pip需升级版本 Requirement already satisfied: pip in c:\users\ychen\appdata\local\programs\python\python310\lib\site-packages (22.0.4) Coll
错误1:maven打包报错 错误还原:使用maven打包项目时报错如下 [ERROR] Failed to execute goal org.apache.maven.plugins:maven-resources-plugin:3.2.0:resources (default-resources)
错误1:服务调用时报错 服务消费者模块assess通过openFeign调用服务提供者模块hires 如下为服务提供者模块hires的控制层接口 @RestController @RequestMapping(&quot;/hires&quot;) public class FeignControl
错误1:运行项目后报如下错误 解决方案 报错2:Failed to execute goal org.apache.maven.plugins:maven-compiler-plugin:3.8.1:compile (default-compile) on project sb 解决方案:在pom.
参考 错误原因 过滤器或拦截器在生效时,redisTemplate还没有注入 解决方案:在注入容器时就生效 @Component //项目运行时就注入Spring容器 public class RedisBean { @Resource private RedisTemplate&lt;String
使用vite构建项目报错 C:\Users\ychen\work&gt;npm init @vitejs/app @vitejs/create-app is deprecated, use npm init vite instead C:\Users\ychen\AppData\Local\npm-