为什么我不能在ATMEGA64A-AU序列号中输入命令?

如何解决为什么我不能在ATMEGA64A-AU序列号中输入命令?

我买了ATMEGA64A-AU,并将USART0连接到FT232RL(USB到串行),而USART1连接到GSM模块。

我使用USART0仅监视目的,并使用USART1与GSM模块进行通信。

我写这些来启用USART:

void USART0_Init( unsigned int ubrr )
{
    UBRR0H = (unsigned char) (ubrr >> 8);
    UBRR0L = (unsigned char) ubrr;
    UCSR0B = (1 << RXEN0) | (1 << TXEN0) | (1 << RXCIE0);
    UCSR0C = (1 << USBS0) | (3 << UCSZ00);
}

void USART1_Init( unsigned int ubrr )
{
    UBRR1H = (unsigned char) (ubrr >> 8);
    UBRR1L = (unsigned char) ubrr;
    UCSR1B = (1 << RXEN1) | (1 << TXEN1) | (1 << RXCIE1);
    UCSR1C = (1 << USBS1) | (3 << UCSZ01);
}

然后将这些字符放在每个USART中的字符或字符串:

void usart0_putc (char send)
{
    while ((UCSR0A & (1 << UDRE0)) == 0) {};
    UDR0 = send;
}

void usart0_puts (const char *send)
{
    while (*send) {
        usart0_putc(*send++);
    }
}

void usart1_putc (char send)
{
    while ((UCSR1A & (1 << UDRE1)) == 0) {};
    UDR1 = send;
}

void usart1_puts (const char *send)
{
    while (*send) {
        usart1_putc(*send++);
    }
}

我使用RX1中断向量来获取模块的响应:

ISR (USART1_RX_vect)
{
    data_in[data_count] = UDR1;
    if (data_in[data_count] == '\n') {
        command_ready = TRUE;
        data_count = 0;
    } else {
        data_count++;
    }

}

主要功能:

void main( void )
{
    sei();
    
    USART0_Init(MYUBRR);
    USART1_Init(MYUBRR);
    while(1){
        if (command_ready == TRUE) {
            memcpy(command_in,data_in,MAXCHAR );
            memset(data_in,sizeof(data_in));
            usart0_puts(command_in);
            command_ready = FALSE;
        }
        
    }
}

它显示响应或诸如振铃和消息之类的内容,但问题是,当我通过微控制器向其添加一些命令时,例如将此行放在main while循环之前:

usart1_puts("ATD+545555555555;\r\n");

要呼叫某个号码,整个过程都会停止,不仅不会呼叫该号码,而且还会停止显示模块的响应,所以我认为代码有问题。

任何帮助将不胜感激。

解决方法

您必须更改行:

UCSR1C = (1 << USBS1) | (3 << UCSZ01);

对此:UCSR1C = (1 << USBS1) | (3 << UCSZ10);

根据数据表,

UCSZ01 位属于 USART0 而不是 USART1

,

您已在UCSR0B

中启用了接收完成的中断 UCSR0B = (1 << RXEN0) | (1 << TXEN0) | (1 << RXCIE0); )。
ISR (USART0_RX_vect)

但是您没有用于该中断向量的中断服务例程处理程序。

所有带有未声明的中断处理程序的向量都默认为无限循环,从而使程序挂起。

您必须声明RXCIE0或从UCSR0B中删除library(ggplot2) library(data.table) setDT(dt) #reshape plotDat <- melt(df1,id.vars = "time",variable.name = "year") #pretty xlimits xlimits <- range(plotDat$time) # plot tiles ggplot(plotDat[ value == 1,],aes(time,year)) + geom_tile(fill = "lightblue") + scale_x_continuous(limits = xlimits,breaks = seq(xlimits[1],xlimits[2]))

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。

相关推荐


依赖报错 idea导入项目后依赖报错,解决方案:https://blog.csdn.net/weixin_42420249/article/details/81191861 依赖版本报错:更换其他版本 无法下载依赖可参考:https://blog.csdn.net/weixin_42628809/a
错误1:代码生成器依赖和mybatis依赖冲突 启动项目时报错如下 2021-12-03 13:33:33.927 ERROR 7228 [ main] o.s.b.d.LoggingFailureAnalysisReporter : *************************** APPL
错误1:gradle项目控制台输出为乱码 # 解决方案:https://blog.csdn.net/weixin_43501566/article/details/112482302 # 在gradle-wrapper.properties 添加以下内容 org.gradle.jvmargs=-Df
错误还原:在查询的过程中,传入的workType为0时,该条件不起作用 &lt;select id=&quot;xxx&quot;&gt; SELECT di.id, di.name, di.work_type, di.updated... &lt;where&gt; &lt;if test=&qu
报错如下,gcc版本太低 ^ server.c:5346:31: 错误:‘struct redisServer’没有名为‘server_cpulist’的成员 redisSetCpuAffinity(server.server_cpulist); ^ server.c: 在函数‘hasActiveC
解决方案1 1、改项目中.idea/workspace.xml配置文件,增加dynamic.classpath参数 2、搜索PropertiesComponent,添加如下 &lt;property name=&quot;dynamic.classpath&quot; value=&quot;tru
删除根组件app.vue中的默认代码后报错:Module Error (from ./node_modules/eslint-loader/index.js): 解决方案:关闭ESlint代码检测,在项目根目录创建vue.config.js,在文件中添加 module.exports = { lin
查看spark默认的python版本 [root@master day27]# pyspark /home/software/spark-2.3.4-bin-hadoop2.7/conf/spark-env.sh: line 2: /usr/local/hadoop/bin/hadoop: No s
使用本地python环境可以成功执行 import pandas as pd import matplotlib.pyplot as plt # 设置字体 plt.rcParams[&#39;font.sans-serif&#39;] = [&#39;SimHei&#39;] # 能正确显示负号 p
错误1:Request method ‘DELETE‘ not supported 错误还原:controller层有一个接口,访问该接口时报错:Request method ‘DELETE‘ not supported 错误原因:没有接收到前端传入的参数,修改为如下 参考 错误2:cannot r
错误1:启动docker镜像时报错:Error response from daemon: driver failed programming external connectivity on endpoint quirky_allen 解决方法:重启docker -&gt; systemctl r
错误1:private field ‘xxx‘ is never assigned 按Altʾnter快捷键,选择第2项 参考:https://blog.csdn.net/shi_hong_fei_hei/article/details/88814070 错误2:启动时报错,不能找到主启动类 #
报错如下,通过源不能下载,最后警告pip需升级版本 Requirement already satisfied: pip in c:\users\ychen\appdata\local\programs\python\python310\lib\site-packages (22.0.4) Coll
错误1:maven打包报错 错误还原:使用maven打包项目时报错如下 [ERROR] Failed to execute goal org.apache.maven.plugins:maven-resources-plugin:3.2.0:resources (default-resources)
错误1:服务调用时报错 服务消费者模块assess通过openFeign调用服务提供者模块hires 如下为服务提供者模块hires的控制层接口 @RestController @RequestMapping(&quot;/hires&quot;) public class FeignControl
错误1:运行项目后报如下错误 解决方案 报错2:Failed to execute goal org.apache.maven.plugins:maven-compiler-plugin:3.8.1:compile (default-compile) on project sb 解决方案:在pom.
参考 错误原因 过滤器或拦截器在生效时,redisTemplate还没有注入 解决方案:在注入容器时就生效 @Component //项目运行时就注入Spring容器 public class RedisBean { @Resource private RedisTemplate&lt;String
使用vite构建项目报错 C:\Users\ychen\work&gt;npm init @vitejs/app @vitejs/create-app is deprecated, use npm init vite instead C:\Users\ychen\AppData\Local\npm-