VHDL移位寄存器

如何解决VHDL移位寄存器

我正在更新我的VHDL编程技能(通过使用ModelSim),我编写了一个Shift寄存器项目。 有一个问题,我不知道该怎么办。

问题是 Q_out :当我在流程内部编写Q_out Q_out时-处理结束后-当clk上升时,Q_out发生了变化(按原意),但是在我的测试台上,我看到的是X而不是'1'...

我做错了什么? VHDL代码:

Library ieee;
Use ieee.std_logic_1164.all;
Use work.New_Data_Pack.all;

Entity Shift_Reg IS
port(
    Rst,Clk :IN std_logic;
    Data :IN byte; -- std_logic_vector(7 downto 0);
    Sel :IN t_shift; --sample,shl,shr,rotl,rotr
    Q_out :OUT byte

);

End Shift_Reg;

ARCHITECTURE Shift_Reg_arc OF Shift_Reg IS
Signal ACC: byte:=(OTHERS=>'0'); -- std_logic_vector(7 downto 0);
BEGIN

ShiftPro:PROCESS (Rst,Clk)
BEGIN
    IF Rst='1' Then
        Q_out<=(OTHERS=>'0');
        ACC<=(OTHERS=>'0');
        
    ELSIF Clk'EVENT and Clk='1' THEN
        CASE Sel is
            WHEN sample=>
                ACC<=Data;
            WHEN shl=>
                ACC<= ACC (6 downto 0) & '0'; -- & concatenation
            WHEN shr=>
                ACC<='0' & ACC (7 downto 1);  

            WHEN rotl=>
                ACC<=ACC (6 downto 0)& ACC(7);

            WHEN rotr=>
                ACC<=ACC(0) & ACC(7 downto 1);
            WHEN OTHERS=>
                NULL;
        END CASE;

    END IF;
 
--Q_out<=ACC;
End PROCESS;
Q_out<=ACC;
End Shift_Reg_arc;

测试平台:

Library ieee;
use ieee.std_logic_1164.all;
Use work.New_Data_Pack.all;

ENTITY Shift_Reg_TB IS END;

ARCHITECTURE Shift_Reg_TB_arc OF Shift_Reg_TB IS
---DUT Componenet Declaration
COMPONENT Shift_Reg
port(
    Rst,rotr
    Q_out :OUT byte);
END COMPONENT;
-----Signal Declaration----
SIGNAL S_Clk,S_Rst :std_logic:='0';
SIGNAL S_Data,S_Q_out : byte;
SIGNAL S_Sel : t_shift;
SIGNAL S_Run :std_logic:='1';
BEGIN
-----DUT installation----
DUT: Shift_Reg
Port Map(S_Rst,S_Clk,S_Data,S_Sel,S_Q_out);

-----Signal Wave Creation----
S_Rst<='1' after 0ns,'0' after 80 ns;
S_Run<= '1' after 0ns,'0' after 600 ns;
S_Sel<= sample,shl after 150 ns,shr after 250 ns,sample after 350 ns,rotl after 450 ns,rotr after 550 ns;
S_Data<=X"45" after 0ns;--,"10" after 125 ns,"33" after 620 ns,x"44" after 720 ns;

---CLK Creation---------
S_Clk_Create:Process
        BEGIN
         while S_Run='1' loop
        S_clk<='1','0' after 50 ns;
            Wait for 100 ns;
        END LOOP;
        S_clk<='1';
        Wait;
END PROCESS;
END Shift_Reg_TB_arc;
    

解决方法

您的Q_out驱动程序有冲突。它要么必须由流程顺序驱动,要么必须同时驱动,而决不能由流程驱动。但是现在,您在流程之外都拥有并发分配:

Q_out<=ACC;

以及流程中的顺序分配

IF Rst='1' Then
    Q_out<=(OTHERS=>'0');

将时钟更新移到进程外时,必须删除进程内重置。

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。

相关推荐


依赖报错 idea导入项目后依赖报错,解决方案:https://blog.csdn.net/weixin_42420249/article/details/81191861 依赖版本报错:更换其他版本 无法下载依赖可参考:https://blog.csdn.net/weixin_42628809/a
错误1:代码生成器依赖和mybatis依赖冲突 启动项目时报错如下 2021-12-03 13:33:33.927 ERROR 7228 [ main] o.s.b.d.LoggingFailureAnalysisReporter : *************************** APPL
错误1:gradle项目控制台输出为乱码 # 解决方案:https://blog.csdn.net/weixin_43501566/article/details/112482302 # 在gradle-wrapper.properties 添加以下内容 org.gradle.jvmargs=-Df
错误还原:在查询的过程中,传入的workType为0时,该条件不起作用 &lt;select id=&quot;xxx&quot;&gt; SELECT di.id, di.name, di.work_type, di.updated... &lt;where&gt; &lt;if test=&qu
报错如下,gcc版本太低 ^ server.c:5346:31: 错误:‘struct redisServer’没有名为‘server_cpulist’的成员 redisSetCpuAffinity(server.server_cpulist); ^ server.c: 在函数‘hasActiveC
解决方案1 1、改项目中.idea/workspace.xml配置文件,增加dynamic.classpath参数 2、搜索PropertiesComponent,添加如下 &lt;property name=&quot;dynamic.classpath&quot; value=&quot;tru
删除根组件app.vue中的默认代码后报错:Module Error (from ./node_modules/eslint-loader/index.js): 解决方案:关闭ESlint代码检测,在项目根目录创建vue.config.js,在文件中添加 module.exports = { lin
查看spark默认的python版本 [root@master day27]# pyspark /home/software/spark-2.3.4-bin-hadoop2.7/conf/spark-env.sh: line 2: /usr/local/hadoop/bin/hadoop: No s
使用本地python环境可以成功执行 import pandas as pd import matplotlib.pyplot as plt # 设置字体 plt.rcParams[&#39;font.sans-serif&#39;] = [&#39;SimHei&#39;] # 能正确显示负号 p
错误1:Request method ‘DELETE‘ not supported 错误还原:controller层有一个接口,访问该接口时报错:Request method ‘DELETE‘ not supported 错误原因:没有接收到前端传入的参数,修改为如下 参考 错误2:cannot r
错误1:启动docker镜像时报错:Error response from daemon: driver failed programming external connectivity on endpoint quirky_allen 解决方法:重启docker -&gt; systemctl r
错误1:private field ‘xxx‘ is never assigned 按Altʾnter快捷键,选择第2项 参考:https://blog.csdn.net/shi_hong_fei_hei/article/details/88814070 错误2:启动时报错,不能找到主启动类 #
报错如下,通过源不能下载,最后警告pip需升级版本 Requirement already satisfied: pip in c:\users\ychen\appdata\local\programs\python\python310\lib\site-packages (22.0.4) Coll
错误1:maven打包报错 错误还原:使用maven打包项目时报错如下 [ERROR] Failed to execute goal org.apache.maven.plugins:maven-resources-plugin:3.2.0:resources (default-resources)
错误1:服务调用时报错 服务消费者模块assess通过openFeign调用服务提供者模块hires 如下为服务提供者模块hires的控制层接口 @RestController @RequestMapping(&quot;/hires&quot;) public class FeignControl
错误1:运行项目后报如下错误 解决方案 报错2:Failed to execute goal org.apache.maven.plugins:maven-compiler-plugin:3.8.1:compile (default-compile) on project sb 解决方案:在pom.
参考 错误原因 过滤器或拦截器在生效时,redisTemplate还没有注入 解决方案:在注入容器时就生效 @Component //项目运行时就注入Spring容器 public class RedisBean { @Resource private RedisTemplate&lt;String
使用vite构建项目报错 C:\Users\ychen\work&gt;npm init @vitejs/app @vitejs/create-app is deprecated, use npm init vite instead C:\Users\ychen\AppData\Local\npm-