RISC-V汇编语言程序,可将华氏温度转换为摄氏温度

如何解决RISC-V汇编语言程序,可将华氏温度转换为摄氏温度

一个汇编语言程序,用于将以华氏度为单位的温度值转换为摄氏温度。要实现的公式是?=(?− 32)×5⁄9。 所需的数据段:

  1. F_temp(单词)
  2. C_temp(单词)
  3. 值32(字节)
  4. 值5(字节)
  5. 值9(字节)
  6. 提示输入(字符串)
  7. 输出消息(字符串)

该堆栈用于将华氏温度值传递给子例程,并将计算出的摄氏温度值返回给主程序。为此将实现动态堆栈分配。 华氏温度和摄氏温度值都应存储在数据段中定义的分配存储位置中。

到目前为止,我得到的是这段代码。当我运行程序时,它说

Assemble: operation completed successfully.

应该要求用户输入华氏温度。但是它没有那样做。另外,用户输入数字后,应将其转换为摄氏度并显示结果。

    
    .data
F_temp:     .word   0
C_temp:     .word   0
Number1:    .byte   32
number2:    .byte   5
number3:    .byte   9
enterNumber:    .ascii "\nEnter a temperature in Fahrenheit: \n"
celsiusDegree:  .ascii "\nCelsius temperature is: "
array:      .word 0:25
welcome1:   .ascii " \n This program converts Fahrenheit to Celsius \n\n"

    .text
main:
    la a0,welcome1     #display welcome message
    li x0,4
    ecall

    la x10,enterNumber             #Ask user to write a number
    li x17,4                  
    ecall                           

    la x6,array                   #store numbers array 
    li x30,25                     #maximum of 25 integers are allowed to be entered 

    # F is in x10               #(F-32) * 5 / 9
    addi x1,x0,9      #t1 = 9
    addi x2,x2,5      #t0 = 5
    addi s0,s0,32     #s0 = 32
    sub x10,x6,s0     #F-32
    mul x10,s0
    div t0,t1,s0
    
done:   
    la x10,celsiusDegree        #display celcius degree
    ecall 


exit:   

    ori a7,zero,10    # define program exit system call
    ecall           # exit program

解决方法

x0硬连线到0。将li插入其中永远没有任何意义。 https://en.wikichip.org/wiki/risc-v/registers

无论注册ecall处理程序查找什么系统调用号码,它都不是x0。查看文档以了解所使用的内容。 (例如RARS system-calls使用a7,与MARS使用MIPS寄存器$v0(不是MIPS $0,即零寄存器)相同)


通常,混合使用x1t0 / s0寄存器名称也是一个坏主意。容易在同一寄存器中意外使用2个不同的名称,并使您的代码覆盖其自己的数据。


在先前版本的问题中,您有:

注:RISC-V乘法和除法指令不支持立即数(常量)。因此,所有数值都应在内存中定义并从内存中加载

这很奇怪,“因此”并没有真正出现。

li reg,constant仍然比lw便宜,尤其是对于一个小的整数。但是,如果您的作业说您必须用数据存储而不是foo = 5.equ的汇编时符号常量来做愚蠢的方式,那么您就必须这样做。您可以在一个位置定义常量,但是如果汇编程序不烂的话,仍然可以将它们用作立即数。

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。

相关推荐


依赖报错 idea导入项目后依赖报错,解决方案:https://blog.csdn.net/weixin_42420249/article/details/81191861 依赖版本报错:更换其他版本 无法下载依赖可参考:https://blog.csdn.net/weixin_42628809/a
错误1:代码生成器依赖和mybatis依赖冲突 启动项目时报错如下 2021-12-03 13:33:33.927 ERROR 7228 [ main] o.s.b.d.LoggingFailureAnalysisReporter : *************************** APPL
错误1:gradle项目控制台输出为乱码 # 解决方案:https://blog.csdn.net/weixin_43501566/article/details/112482302 # 在gradle-wrapper.properties 添加以下内容 org.gradle.jvmargs=-Df
错误还原:在查询的过程中,传入的workType为0时,该条件不起作用 <select id="xxx"> SELECT di.id, di.name, di.work_type, di.updated... <where> <if test=&qu
报错如下,gcc版本太低 ^ server.c:5346:31: 错误:‘struct redisServer’没有名为‘server_cpulist’的成员 redisSetCpuAffinity(server.server_cpulist); ^ server.c: 在函数‘hasActiveC
解决方案1 1、改项目中.idea/workspace.xml配置文件,增加dynamic.classpath参数 2、搜索PropertiesComponent,添加如下 <property name="dynamic.classpath" value="tru
删除根组件app.vue中的默认代码后报错:Module Error (from ./node_modules/eslint-loader/index.js): 解决方案:关闭ESlint代码检测,在项目根目录创建vue.config.js,在文件中添加 module.exports = { lin
查看spark默认的python版本 [root@master day27]# pyspark /home/software/spark-2.3.4-bin-hadoop2.7/conf/spark-env.sh: line 2: /usr/local/hadoop/bin/hadoop: No s
使用本地python环境可以成功执行 import pandas as pd import matplotlib.pyplot as plt # 设置字体 plt.rcParams['font.sans-serif'] = ['SimHei'] # 能正确显示负号 p
错误1:Request method ‘DELETE‘ not supported 错误还原:controller层有一个接口,访问该接口时报错:Request method ‘DELETE‘ not supported 错误原因:没有接收到前端传入的参数,修改为如下 参考 错误2:cannot r
错误1:启动docker镜像时报错:Error response from daemon: driver failed programming external connectivity on endpoint quirky_allen 解决方法:重启docker -> systemctl r
错误1:private field ‘xxx‘ is never assigned 按Altʾnter快捷键,选择第2项 参考:https://blog.csdn.net/shi_hong_fei_hei/article/details/88814070 错误2:启动时报错,不能找到主启动类 #
报错如下,通过源不能下载,最后警告pip需升级版本 Requirement already satisfied: pip in c:\users\ychen\appdata\local\programs\python\python310\lib\site-packages (22.0.4) Coll
错误1:maven打包报错 错误还原:使用maven打包项目时报错如下 [ERROR] Failed to execute goal org.apache.maven.plugins:maven-resources-plugin:3.2.0:resources (default-resources)
错误1:服务调用时报错 服务消费者模块assess通过openFeign调用服务提供者模块hires 如下为服务提供者模块hires的控制层接口 @RestController @RequestMapping("/hires") public class FeignControl
错误1:运行项目后报如下错误 解决方案 报错2:Failed to execute goal org.apache.maven.plugins:maven-compiler-plugin:3.8.1:compile (default-compile) on project sb 解决方案:在pom.
参考 错误原因 过滤器或拦截器在生效时,redisTemplate还没有注入 解决方案:在注入容器时就生效 @Component //项目运行时就注入Spring容器 public class RedisBean { @Resource private RedisTemplate<String
使用vite构建项目报错 C:\Users\ychen\work>npm init @vitejs/app @vitejs/create-app is deprecated, use npm init vite instead C:\Users\ychen\AppData\Local\npm-