主/从 JK 触发器 (GHDL) 中的“未解析信号的几个来源”

如何解决主/从 JK 触发器 (GHDL) 中的“未解析信号的几个来源”

我正在尝试使用基于 GHDL 中的 NAND 逻辑的两个 CLK SR 触发器组件来实现一个 clk 主/从 JK 触发器。

我偶然发现了以下错误:

  • 未解析信号的几个来源
  • 细化过程中的错误

我知道,事实上,问题出在从锁存输入 SR(S

我尝试以多种方式初始化这些值,但未能通过该错误。

非常感谢您能提供的任何帮助。

enter image description here

JK FF 组件:

library ieee;
use ieee.std_logic_1164.all;

entity jkff_ms is
    port
    (
        J,K,clk : in std_ulogic;
        Q,nQ : inout std_ulogic
    );
end entity;

architecture behave of jkff_ms is
    component srff
        port
        (
            S,R,clk : in std_ulogic;
            Q,nQ : inout std_ulogic --     Q <= (S nand clk) nand nQ; / nQ <= (R nand clk) nand Q;
        );
    end component;
    
    signal S,SRQ,SRnQ : std_ulogic;
    signal t0,t1,t2,t3,t4 : std_ulogic;
begin
    SRQ <= '1';
    SRnQ <= '0';

    t0 <= clk nand clk; -- NOT
    t1 <= J nand nQ;
        t2 <= t1 nand t1; -- NOT
    t3 <= K nand Q;
        t4 <= t3 nand t3; -- NOT
    
    SR0: srff port map (t2,t4,clk,SRnQ);
    SR1: srff port map (SRQ,SRnQ,t0,Q,nQ);
end architecture; 

JK FF 测试台:

library ieee;
use ieee.std_logic_1164.all;

entity jkff_ms_tb is
end entity;

architecture rtl of jkff_ms_tb is
    procedure clk_gen(signal clk0 : out std_ulogic; constant freq : real) is
        constant T : time := 1000 ms/freq;
        constant ht : time := T/2; -- High_Time
        constant lt : time := T - ht; -- Low_Time
    begin
        assert (ht /= 0 fs) report "clk_plain: High_Time = 0; time resolution to large for freq" severity FAILURE;
        loop
            clk0 <= '1';
            wait for ht;
            clk0 <= '0';
            wait for lt;
        end loop;
    end procedure;
    
    component jkff_ms
        port
        (
            J,nQ : inout std_ulogic
        );
    end component;
    
    signal J,nQ : std_ulogic;
    signal clk_100 : std_ulogic;
begin
    clk_gen(clk_100,100.000e6); -- 100 MHz
    
    assert FALSE report "Time resolution:" & time'image(time'succ(0 fs)) severity NOTE;
    
    JK: jkff_ms port map (J,clk_100,nQ);
    
    process
    begin
        J <= 'X';
        K <= 'X';
        wait for 10 ns;
        
        J <= '0';
        K <= '0';
        wait for 10 ns;
        
        J <= '0';
        K <= '0';
        wait for 10 ns;
        
        J <= '1';
        K <= '0';
        wait for 10 ns;
        
        J <= '0';
        K <= '0';
        wait for 10 ns;
        
        J <= '0';
        K <= '1';
        wait for 10 ns;
        
        J <= '1';
        K <= '0';
        wait for 10 ns;
        
        J <= '0';
        K <= '0';
        wait for 10 ns;     
        
        J <= '0';
        K <= '1';
        wait for 10 ns;
        
        assert false report "End of Test";
        wait;
    end process;
end architecture;

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。

相关推荐


依赖报错 idea导入项目后依赖报错,解决方案:https://blog.csdn.net/weixin_42420249/article/details/81191861 依赖版本报错:更换其他版本 无法下载依赖可参考:https://blog.csdn.net/weixin_42628809/a
错误1:代码生成器依赖和mybatis依赖冲突 启动项目时报错如下 2021-12-03 13:33:33.927 ERROR 7228 [ main] o.s.b.d.LoggingFailureAnalysisReporter : *************************** APPL
错误1:gradle项目控制台输出为乱码 # 解决方案:https://blog.csdn.net/weixin_43501566/article/details/112482302 # 在gradle-wrapper.properties 添加以下内容 org.gradle.jvmargs=-Df
错误还原:在查询的过程中,传入的workType为0时,该条件不起作用 &lt;select id=&quot;xxx&quot;&gt; SELECT di.id, di.name, di.work_type, di.updated... &lt;where&gt; &lt;if test=&qu
报错如下,gcc版本太低 ^ server.c:5346:31: 错误:‘struct redisServer’没有名为‘server_cpulist’的成员 redisSetCpuAffinity(server.server_cpulist); ^ server.c: 在函数‘hasActiveC
解决方案1 1、改项目中.idea/workspace.xml配置文件,增加dynamic.classpath参数 2、搜索PropertiesComponent,添加如下 &lt;property name=&quot;dynamic.classpath&quot; value=&quot;tru
删除根组件app.vue中的默认代码后报错:Module Error (from ./node_modules/eslint-loader/index.js): 解决方案:关闭ESlint代码检测,在项目根目录创建vue.config.js,在文件中添加 module.exports = { lin
查看spark默认的python版本 [root@master day27]# pyspark /home/software/spark-2.3.4-bin-hadoop2.7/conf/spark-env.sh: line 2: /usr/local/hadoop/bin/hadoop: No s
使用本地python环境可以成功执行 import pandas as pd import matplotlib.pyplot as plt # 设置字体 plt.rcParams[&#39;font.sans-serif&#39;] = [&#39;SimHei&#39;] # 能正确显示负号 p
错误1:Request method ‘DELETE‘ not supported 错误还原:controller层有一个接口,访问该接口时报错:Request method ‘DELETE‘ not supported 错误原因:没有接收到前端传入的参数,修改为如下 参考 错误2:cannot r
错误1:启动docker镜像时报错:Error response from daemon: driver failed programming external connectivity on endpoint quirky_allen 解决方法:重启docker -&gt; systemctl r
错误1:private field ‘xxx‘ is never assigned 按Altʾnter快捷键,选择第2项 参考:https://blog.csdn.net/shi_hong_fei_hei/article/details/88814070 错误2:启动时报错,不能找到主启动类 #
报错如下,通过源不能下载,最后警告pip需升级版本 Requirement already satisfied: pip in c:\users\ychen\appdata\local\programs\python\python310\lib\site-packages (22.0.4) Coll
错误1:maven打包报错 错误还原:使用maven打包项目时报错如下 [ERROR] Failed to execute goal org.apache.maven.plugins:maven-resources-plugin:3.2.0:resources (default-resources)
错误1:服务调用时报错 服务消费者模块assess通过openFeign调用服务提供者模块hires 如下为服务提供者模块hires的控制层接口 @RestController @RequestMapping(&quot;/hires&quot;) public class FeignControl
错误1:运行项目后报如下错误 解决方案 报错2:Failed to execute goal org.apache.maven.plugins:maven-compiler-plugin:3.8.1:compile (default-compile) on project sb 解决方案:在pom.
参考 错误原因 过滤器或拦截器在生效时,redisTemplate还没有注入 解决方案:在注入容器时就生效 @Component //项目运行时就注入Spring容器 public class RedisBean { @Resource private RedisTemplate&lt;String
使用vite构建项目报错 C:\Users\ychen\work&gt;npm init @vitejs/app @vitejs/create-app is deprecated, use npm init vite instead C:\Users\ychen\AppData\Local\npm-