问题:在FPGADE1-SOC上实现超声波传感器HC-SR04

如何解决问题:在FPGADE1-SOC上实现超声波传感器HC-SR04

我的目标是在我的FPGA(DE1-SOC)上安装一个超声波传感器(HC-SR04),以便我的LED的值根据障碍物的距离而变化。

我正在VHDL中开发QUARTUS II。我的问题是,当我上传到卡中时,没有LED点亮。

我有以下代码:

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity SENSOR is
    port (
        clk : in std_logic;
        rst : in std_logic;

        trig : out std_logic;
        echo : in  std_logic;

        LEDR : out std_logic_vector(9 downto 0)
    );
end entity SENSOR;

architecture rtl of SENSOR is
    signal tick_us : std_logic;
    signal tick_us_ctr : integer range 0 to 50;

    signal trig_ctr : integer range 0 to 60_010;
    
    signal echo_width_us : integer range 0 to 40_000;
    signal out_range : std_logic :='0'; -- verifie depassement 40 ms de echo_width_us 
begin
    gen_tick_us : process(clk,rst)
    begin
        if rst = '1' then
            tick_us_ctr <= 0;
            tick_us <= '0';
        elsif rising_edge(clk) then
            if tick_us_ctr >= 50-1 then
                tick_us <= '1';
                tick_us_ctr <= 0;
            else
                tick_us <= '0';
                tick_us_ctr <= tick_us_ctr + 1;
            end if;
        end if;
    end process;

    gen_trig : process(clk,rst)
    begin
        if rst = '1' then
            trig <= '0';
            trig_ctr <= 0;
        elsif rising_edge(clk) and tick_us = '1' then -- every 1 us
            if trig_ctr >= 60_010-1 then -- 60 ms + 10 us
                trig <= '0';
                trig_ctr <= 0;
            elsif trig_ctr = 60_000-1 then -- 60 ms
                trig <= '1';
                trig_ctr <= trig_ctr + 1;
            else
                trig_ctr <= trig_ctr + 1;
            end if;
        end if;
    end process;

    measure_width : process(clk,rst)
    begin
        if rst = '1' then
            echo_width_us <= 0;
            LEDR <= (others => '0');
        elsif rising_edge(clk) and tick_us = '1' then -- every 1 us
            if echo = '1' then
                if echo_width_us < 40_001 then
                    echo_width_us <= echo_width_us + 1;
                else
                    out_range <= '1';
                end if;
            elsif echo = '0' and echo_width_us > 0 then
                if out_range ='1' then 
                    echo_width_us <= 0;
                    out_range <= '0';
                    LEDR <= (others => '0');
                else
                    echo_width_us <= 0;
                    LEDR <= std_logic_vector(to_unsigned(echo_width_us / 58,10));
                    --ledr <= (others => '0');
                    --ledr() >= '1';
                end if;
            end if;
        end if;
    end process;
end architecture;

我知道这是可行的,因为我通过制作TestBench在ModelSim上对其进行了测试:

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity SENSOR_TestBench is
end entity SENSOR_TestBench;

architecture rtl of SENSOR_TestBench is
    signal clk_50 : std_logic;
    signal rst    : std_logic;
    
    signal trig : std_logic;
    signal echo : std_logic;
    
    signal ledr : std_logic_vector(9 downto 0);
begin
    uut : entity work.SENSOR
        port map (
            clk => clk_50,rst => rst,trig => trig,echo => echo,ledr => ledr
        );
    
    clk_rst : process
    begin
        rst <= '1';
        clk_50 <= '0';
        wait for 10 ns;
        rst <= '0';
        wait for 10 ns;
        
        for i in 0 to 50 * 1000 * 1000 loop
            clk_50 <= '1';
            wait for 10 ns;
            
            clk_50 <= '0';
            wait for 10 ns;
        end loop;
    end process;
    
    process
    begin
        echo <= '0';
        wait for 100 ns;
        
        wait until trig = '1';
        wait for 10 us;
        echo <= '1';
        wait for 5 ms;
        echo <= '0';
        
        wait until trig = '1';
        wait for 10 us;
        echo <= '1';
        wait for 10 ms;
        echo <= '0';
        
        wait until trig = '1';
        wait for 10 us;
        echo <= '1';
        wait for 25 ms;
        echo <= '0';
        
        wait until trig = '1';
        wait for 10 us;
        echo <= '1';
        wait for 50 ms;
        echo <= '0';
        
    end process;
end architecture;

Simu ModelSim

我也不认为这是PIN映射问题,我尊重该卡的用户手册:

Mapping PINs

我将从QUARTUS II开始,所以我可能忘记了将代码上传到卡中的步骤:

Programmer Quartus

我还在树莓派上测试了我的超声波传感器,看它是否完好无缺,但是运行良好:

Test Ultrasonic sensor on Raspberry

我不知道该怎么办,如果有人有主意,我会全力以赴:D

感谢您的回答!

解决方法

如果不确定Quartus正在进行的操作。我建议您从一个简单的时钟分频器(即一个大计数器)开始,然后将MSB分配给输出LED。 看到led切换后,您将知道实现步骤和编程步骤都是正确的。 然后,您可以返回到特定的传感器模块。

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。

相关推荐


依赖报错 idea导入项目后依赖报错,解决方案:https://blog.csdn.net/weixin_42420249/article/details/81191861 依赖版本报错:更换其他版本 无法下载依赖可参考:https://blog.csdn.net/weixin_42628809/a
错误1:代码生成器依赖和mybatis依赖冲突 启动项目时报错如下 2021-12-03 13:33:33.927 ERROR 7228 [ main] o.s.b.d.LoggingFailureAnalysisReporter : *************************** APPL
错误1:gradle项目控制台输出为乱码 # 解决方案:https://blog.csdn.net/weixin_43501566/article/details/112482302 # 在gradle-wrapper.properties 添加以下内容 org.gradle.jvmargs=-Df
错误还原:在查询的过程中,传入的workType为0时,该条件不起作用 &lt;select id=&quot;xxx&quot;&gt; SELECT di.id, di.name, di.work_type, di.updated... &lt;where&gt; &lt;if test=&qu
报错如下,gcc版本太低 ^ server.c:5346:31: 错误:‘struct redisServer’没有名为‘server_cpulist’的成员 redisSetCpuAffinity(server.server_cpulist); ^ server.c: 在函数‘hasActiveC
解决方案1 1、改项目中.idea/workspace.xml配置文件,增加dynamic.classpath参数 2、搜索PropertiesComponent,添加如下 &lt;property name=&quot;dynamic.classpath&quot; value=&quot;tru
删除根组件app.vue中的默认代码后报错:Module Error (from ./node_modules/eslint-loader/index.js): 解决方案:关闭ESlint代码检测,在项目根目录创建vue.config.js,在文件中添加 module.exports = { lin
查看spark默认的python版本 [root@master day27]# pyspark /home/software/spark-2.3.4-bin-hadoop2.7/conf/spark-env.sh: line 2: /usr/local/hadoop/bin/hadoop: No s
使用本地python环境可以成功执行 import pandas as pd import matplotlib.pyplot as plt # 设置字体 plt.rcParams[&#39;font.sans-serif&#39;] = [&#39;SimHei&#39;] # 能正确显示负号 p
错误1:Request method ‘DELETE‘ not supported 错误还原:controller层有一个接口,访问该接口时报错:Request method ‘DELETE‘ not supported 错误原因:没有接收到前端传入的参数,修改为如下 参考 错误2:cannot r
错误1:启动docker镜像时报错:Error response from daemon: driver failed programming external connectivity on endpoint quirky_allen 解决方法:重启docker -&gt; systemctl r
错误1:private field ‘xxx‘ is never assigned 按Altʾnter快捷键,选择第2项 参考:https://blog.csdn.net/shi_hong_fei_hei/article/details/88814070 错误2:启动时报错,不能找到主启动类 #
报错如下,通过源不能下载,最后警告pip需升级版本 Requirement already satisfied: pip in c:\users\ychen\appdata\local\programs\python\python310\lib\site-packages (22.0.4) Coll
错误1:maven打包报错 错误还原:使用maven打包项目时报错如下 [ERROR] Failed to execute goal org.apache.maven.plugins:maven-resources-plugin:3.2.0:resources (default-resources)
错误1:服务调用时报错 服务消费者模块assess通过openFeign调用服务提供者模块hires 如下为服务提供者模块hires的控制层接口 @RestController @RequestMapping(&quot;/hires&quot;) public class FeignControl
错误1:运行项目后报如下错误 解决方案 报错2:Failed to execute goal org.apache.maven.plugins:maven-compiler-plugin:3.8.1:compile (default-compile) on project sb 解决方案:在pom.
参考 错误原因 过滤器或拦截器在生效时,redisTemplate还没有注入 解决方案:在注入容器时就生效 @Component //项目运行时就注入Spring容器 public class RedisBean { @Resource private RedisTemplate&lt;String
使用vite构建项目报错 C:\Users\ychen\work&gt;npm init @vitejs/app @vitejs/create-app is deprecated, use npm init vite instead C:\Users\ychen\AppData\Local\npm-